Evidence of ultra-low-k dielectric material degradation and nanostructure alteration of the Cu/ultra-low-k interconnects in time-dependent dielectric breakdown failure

نویسندگان

  • Jeffrey C. K. Lam
  • Maggie Y. M. Huang
  • Tsu Hau Ng
  • Mohammed Khalid
  • Bin Dawood
  • Fan Zhang
  • Anyan Du
  • Handong Sun
  • Zexiang Shen
  • Zhihong Mai
چکیده

alteration of the Cu/ultra-low-k interconnects in time-dependent dielectric breakdown failure Jeffrey C. K. Lam, Maggie Y. M. Huang, Tsu Hau Ng, Mohammed Khalid Bin Dawood, Fan Zhang, Anyan Du, Handong Sun, Zexiang Shen, and Zhihong Mai GLOBALFOUNDRIES Singapore Pte Ltd, Woodlands Industrial Park D, Street 2, Singapore 738406 Division of Physics and Applied Physics, School of Physical and Mathematical Sciences, Nanyang Technological University, Singapore 637371

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Time Dependent Dielectric Breakdown in Copper Low-k Interconnects: Mechanisms and Reliability Models

The time dependent dielectric breakdown phenomenon in copper low-k damascene interconnects for ultra large-scale integration is reviewed. The loss of insulation between neighboring interconnects represents an emerging back end-of-the-line reliability issue that is not fully understood. After describing the main dielectric leakage mechanisms in low-k materials (Poole-Frenkel and Schottky emissio...

متن کامل

Time dependent dielectric breakdown in a low-k interlevel dielectric

Intralevel Time Dependent Dielectric Breakdown (TDDB) was studied in interdigitated comb structures comprised of standard Cu metallization and a low-k interlevel dielectric. The failure distribution was found to be best represented as being lognormal with sigma increasing as the field decreased. Kinetic studies revealed an exponential dependence on the electric field that fits 1/E closer than –...

متن کامل

Material design of plasma-enhanced chemical vapour deposition SiCH films for low-k cap layers in the further scaling of ultra-large-scale integrated devices-Cu interconnects

Cap layers for Cu interconnects in ultra-large-scale integrated devices (ULSIs), with a low dielectric constant (k-value) and strong barrier properties against Cu and moisture diffusion, are required for the future further scaling of ULSIs. There is a trade-off, however, between reducing the k-value and maintaining strong barrier properties. Using quantum mechanical simulations and other theore...

متن کامل

Chip-Package Interaction and Reliability Impact on Cu/Low-k Interconnects

The exponential growth in device density has yielded high-performance microprocessors containing two billion transistors [1]. The path toward such integration continues to require the implementation of new materials, processes, and design for interconnect and packaging structures. Since 1997, copper (Cu), which has a lower resistivity than aluminum (Al), has been selected as an interconnect mat...

متن کامل

Probing wire bond issues for bonding over Cu/low-K dielectric materials

OVERVIEW: The introduction of low-k and ultralow-k dielectric films in copper-interconnect structures presents serious challenges in test, assembly, and packaging of advanced devices. Low-k films support higher circuit speeds and enable smaller feature sizes by increasing the insulation capability around copper interconnects, but compared to previous generations of silicon-dioxide dielectric la...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2013